use IEEE.STD_LOGIC_1164.ALL; entity GCC is Port ( systemClock, reset : in STD_LOGIC; stateOutput : out STD_LOGIC_VECTOR (4 downto 0)); end GCC; architecture Behavioral of GCC is component FreqDivider is Port (systemClock : in STD_LOGIC; slowClock : out STD_LOGIC); end component; signal nextState, presentState: std_logic_vector(5 downto 0) := "00000"; signal slowClock: std_logic;

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity GCC is
Port ( systemClock, reset : in STD_LOGIC;
state Output : out STD_LOGIC_VECTOR (4 downto 0));
end GCC;
architecture Behavioral of GCC is
component FreqDivider is
Port (systemClock : in STD_LOGIC;
slowClock : out STD_LOGIC);
end component;
signal nextState, presentState: std_logic_vector(5 downto 0) := "00000";
signal slowClock: std_logic;
begin
FDO: FreqDivider port map (systemClock => systemClock, slowClock => slowClock);
process (slowClock, reset)
begin
if (reset = '1') then
presentState <= "00000";
elsif (rising_edge(slowClock)) then
presentState <= nextState;
end if ;
end process ;
stateOutput <= presentState;
<Your Code Goes Here>
end Behavioral;
Transcribed Image Text:library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity GCC is Port ( systemClock, reset : in STD_LOGIC; state Output : out STD_LOGIC_VECTOR (4 downto 0)); end GCC; architecture Behavioral of GCC is component FreqDivider is Port (systemClock : in STD_LOGIC; slowClock : out STD_LOGIC); end component; signal nextState, presentState: std_logic_vector(5 downto 0) := "00000"; signal slowClock: std_logic; begin FDO: FreqDivider port map (systemClock => systemClock, slowClock => slowClock); process (slowClock, reset) begin if (reset = '1') then presentState <= "00000"; elsif (rising_edge(slowClock)) then presentState <= nextState; end if ; end process ; stateOutput <= presentState; <Your Code Goes Here> end Behavioral;
Expert Solution
trending now

Trending now

This is a popular solution!

steps

Step by step

Solved in 2 steps

Blurred answer
Similar questions
  • SEE MORE QUESTIONS
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY