For the given VHDL program, rectify the logical and syntax errors. Highlight each error and write the corrected codes for each with justification. library ieee; use ieee. std_logic_1164; entity sample is port(a,b: in std_logic; X: out std_logic; Y: out std_logic vector(2 down to o)); end sample; architecture sample 1 of sample is begin signal R: bit:=’U’; if a=’1’ then Y<=”00”; R<=’1’; elsif b=’1’ then Y<=”01”; R<=’0’ else Y<=”11’;R<=’0’; end process; X<=R;end sample1;

Database System Concepts
7th Edition
ISBN:9780078022159
Author:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Chapter1: Introduction
Section: Chapter Questions
Problem 1PE
icon
Related questions
Question
100%

For the given VHDL program, rectify the logical and syntax errors. Highlight each error and write the corrected codes for each with justification.
library ieee;
use ieee. std_logic_1164;
entity sample is
port(a,b: in std_logic; X: out std_logic; Y: out std_logic vector(2 down to o));
end sample;
architecture sample 1 of sample is
begin
signal R: bit:=’U’;
if a=’1’ then Y<=”00”; R<=’1’;
elsif b=’1’ then Y<=”01”; R<=’0’
else Y<=”11’;R<=’0’;
end process;
X<=R;end sample1;

Expert Solution
trending now

Trending now

This is a popular solution!

steps

Step by step

Solved in 2 steps

Blurred answer
Knowledge Booster
Fundamentals of Boolean Algebra and Digital Logics
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, computer-science and related others by exploring similar questions and additional content below.
Similar questions
  • SEE MORE QUESTIONS
Recommended textbooks for you
Database System Concepts
Database System Concepts
Computer Science
ISBN:
9780078022159
Author:
Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:
McGraw-Hill Education
Starting Out with Python (4th Edition)
Starting Out with Python (4th Edition)
Computer Science
ISBN:
9780134444321
Author:
Tony Gaddis
Publisher:
PEARSON
Digital Fundamentals (11th Edition)
Digital Fundamentals (11th Edition)
Computer Science
ISBN:
9780132737968
Author:
Thomas L. Floyd
Publisher:
PEARSON
C How to Program (8th Edition)
C How to Program (8th Edition)
Computer Science
ISBN:
9780133976892
Author:
Paul J. Deitel, Harvey Deitel
Publisher:
PEARSON
Database Systems: Design, Implementation, & Manag…
Database Systems: Design, Implementation, & Manag…
Computer Science
ISBN:
9781337627900
Author:
Carlos Coronel, Steven Morris
Publisher:
Cengage Learning
Programmable Logic Controllers
Programmable Logic Controllers
Computer Science
ISBN:
9780073373843
Author:
Frank D. Petruzella
Publisher:
McGraw-Hill Education