a Test Bench file for the following VHDL code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shiftregister is     Port ( R : in  STD_LOGIC_VECTOR(5 downto 0);            L, w, Reset : in  STD_LOGIC;            Q : out  STD_LOGIC_VECTOR(5 downto 0)); end shiftregister; architecture Behavior of shiftregister is signal Sreg : STD_LOGIC_VECTOR(5 downto 0); begin if reset='1' then Sreg <= "000000"; elsif clk'event and clk='1' then

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question

Create a Test Bench file for the following VHDL code:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity shiftregister is
    Port ( R : in  STD_LOGIC_VECTOR(5 downto 0);
           L, w, Reset : in  STD_LOGIC;
           Q : out  STD_LOGIC_VECTOR(5 downto 0));
end shiftregister;

architecture Behavior of shiftregister is
signal Sreg : STD_LOGIC_VECTOR(5 downto 0);
begin
if reset='1' then
Sreg <= "000000";
elsif clk'event and clk='1' then
if L='1' then
Sreg <= R;
else
Sreg(0) <= Sreg(1);
Sreg(1) <= Sreg(2);
Sreg(2) <= Sreg(3);
Sreg(3) <= Sreg(4);
Sreg(4) <= Sreg(5);
Sreg(5) <= w;
end if;
end if;
Q <= Sreg;
end process;
end Behavior;

Expert Solution
steps

Step by step

Solved in 2 steps

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY