T5. Tutorial Answers

pdf

School

Swinburne University of Technology *

*We aren’t endorsed by this school

Course

EEE20001

Subject

Electrical Engineering

Date

Oct 30, 2023

Type

pdf

Pages

3

Uploaded by bercianoj

Report
Digital Electronics - Tutorial 12 of 46 Tutorial 5 Solution Q1) Not provided Q2) Draw circuit and label input values. Determine steady state (initial) conditions. Propagate the input change through the circuit using the delays in the VHDL statements. R changes to 1 @ 112 ns. Q3) Given that A="00101101" and B="10011", determine the value of: F <= (not B & "0111") or (A & '1') and ('1' & A); F <= (not "10011" & "0111") or ("00101101" & '1') and ('1' & "00101101"); F <= ("01100" & "0111") or ("001011011") and ("100101101"); F <= "011000111" or "001011011" and "100101101"; F <= ("011000111" or "001011011") and ("100101101"); -- left to right! F <= "011011111" and "100101101"; F <= "000001101"; Q4) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ThreeGates is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c : in STD_LOGIC; x : out STD_LOGIC; y : out STD_LOGIC); end ThreeGates; architecture Behavioral of ThreeGates is signal t : std_logic; begin t <= not b; -- depends how pedantic you want to be! x <= a and t; -- or x <= a and not b; y <= c and t; -- or y <= c and not b; end Behavioral;
Digital Electronics - Tutorial 13 of 46 Q5) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity TruthTable is Port ( a : in STD_LOGIC; b : in STD_LOGIC; w : out STD_LOGIC_VECTOR (3 downto 0); x : out STD_LOGIC); end entity TruthTable; architecture Behavioral of TruthTable is signal t : std_logic_vector(1 downto 0); begin t <= a & b; -- Note it would be better to use selected assignment as -- alternatives are mutually exclusive (not covered yet). w <= "0000" when t = "00" else "1010" when t = "01" else "1100" when t = "10" else "1001" when t = "11" else "XXXX"; -- needed for other 'std_logic' values e.g. 'U','Z' etc; x <= '0' when t = "00" else '0' when t = "01" else '1' when t = "10" else '0' when t = "11" else 'X'; -- needed for other 'std_logic' values e.g. 'U','Z' etc; end architecture Behavioral; Q6) Deleted.
Digital Electronics - Tutorial 14 of 46 Q7) F 1 = A’B’E + AF + D’E’ F 2 = B’CD’E + A’ Q8) F 1 = AB’D + A’C’ + BC + C’D’ F 2 = A’C’ + AC + C’D’ F 3 = CD + A’C’ + AB’D Peter O'Donoghue 03/04/2017 A A A’ A’ B B B’ B’ C C C’ C’ D D D’ D’ E E E’ E’ F F F’ F’ A’ AF D’E’ B’C A’ 0 F 1 F 2 A A A’ A’ B B B’ B’ C C C’ C’ D D D’ D’ E E E’ E’ F F F’ F’ AB’D A’C’ BC C’D’ AC CD F 1 F 2 F 3
Your preview ends here
Eager to read complete document? Join bartleby learn and gain access to the full version
  • Access to all documents
  • Unlimited textbook solutions
  • 24/7 expert homework help

Browse Popular Homework Q&A

Q: 7. Consider the function f(x)=₂ a. By graphing the function, explain why f is one-to-one. b. Find a…
Q: Assume cos(x) √41 4/3 = -4/3 and is in the fourth quadrant. What is tan(x)? none listed are correct
Q: Evaluate, in spherical coordinates, the triple integral of f(p, 0, 6) = sind, over the region 0 ≤ 0…
Q: Find parametric equations for line that is tangent to the curve x = cos t, y = sin t, z = t at the…
Q: At equilibrium, the chemical potentials the gas and liquid phases of a solvent in a solution are…
Q: 14. How many different relexive, symmetric relations are there on the set {a,b,c}? O 10 none of…
Q: Describing what your concept of a human being is.
Q: Consider the system: X1Xx3 + x₂x² = 0 |x₁x³+x²x=0 1. Can we solve for x3 and x4 as functions of ₁…
Q: has [NO] = 9.5x10-4 M when PNO = 380 torr. What is the [NO], in M, when PNO = (1.7x10^2) torr at…
Q: 2. (6371 #3 p. 227) ƒ(5) — ƒ(0) f'(c) = 5-0 x +4 Show that there is no value of c with 0 < c < 5…
Q: elevator is moving downward with a decreasing speed and the magnitude of the acceleration is 4 m/s².…
Q: Local researchers want to see if voters are more likely to vote for candidates who most closely…
Q: Consider the market for corn. Suppose that right now, the equilibrium price is considered “too low”…
Q: Consider the curve r=[(e^(t))*cos(3t), (e^(t))*sin(3t), e^(t)] Compute the arclength function s(t):…
Q: Determine the size of the matrix. 86 413 2 1 7 4 1 1 1 1 2 1
Q: Let U= {x|x EN and x < 8} A = {x | x is an even natural number and x < 8} B = {x|x is an odd natural…
Q: 39. Sketch the acceleration-versus-time graph from the following velocity-versus-time graph.…
Q: Find the focus and directrix of the parabola with the given equation. Then graph the parabola. y² =…
Q: Check that the four points P(2,4,4), Q(3, 1,6), R(2,8,0), and S(9,2, –1) all lie in a plane. Then…
Q: You are conducting a hypothesis test about income where the research (alternative) hypothesis is…
Q: Συντηρη n=1
Q: Question 2 According to the ideal gas law pressure, P (in pascals), volume, V (in cubic meters), and…