Step 2. Multiplexer (MUX) Design The 2-to-1 multiplexer consists of two inputs DO and D1, one selection input S and one output Y. According to the logic value of the selection signal S, DO or D1 will be passed to the output. reg y; always@(signal1 or signal2 or signal3) begin if (conditional expression) y= statement1; else Figure 3. 2-to-1 multiplexer diagram A Verilog if statement is used to choose which statement should be executed depending on the conditional expression. Simplified Sample Syntax y= statement2; end S 0 0 0 0 1 1 1 1 Hy MUX S Inputs do You can design the 2-to-1 multiplexer circuit by using if...else statement in Verilog and also write a testbench to run simulations. Verify by yourself that your simulation results match with the values shown below. do 0 0 1 1 0 0 1 1 dl d1 0 1 0 1 0 1 0 1 Output y 0 0 1 1 0 1 0 1

Programming Logic & Design Comprehensive
9th Edition
ISBN:9781337669405
Author:FARRELL
Publisher:FARRELL
Chapter4: Making Decisions
Section: Chapter Questions
Problem 4RQ
icon
Related questions
Question
Step 2. Multiplexer (MUX) Design
The 2-to-1 multiplexer consists of two inputs DO and D1, one selection input S and one output Y. According
to the logic value of the selection signal S, DO or D1 will be passed to the output.
reg y;
always@(signal1 or signal2 or signal3)
begin
if (conditional expression)
y= statement1;
else
Figure 3. 2-to-1 multiplexer diagram
A Verilog if statement is used to choose which statement should be executed depending on the
conditional expression.
Simplified Sample Syntax
y= statement2;
end
S
0
0
0
0
1
1
1
1
S
y MUX
Inputs
do
0
0
You can design the 2-to-1 multiplexer circuit by using if...else statement in Verilog and also write a
testbench to run simulations. Verify by yourself that your simulation results match with the values shown
below.
1
0
0
1
1
do
dl
d1
0
1
1
0
1
0
1
Output
y
0
0
1
1
0
1
0
1
Transcribed Image Text:Step 2. Multiplexer (MUX) Design The 2-to-1 multiplexer consists of two inputs DO and D1, one selection input S and one output Y. According to the logic value of the selection signal S, DO or D1 will be passed to the output. reg y; always@(signal1 or signal2 or signal3) begin if (conditional expression) y= statement1; else Figure 3. 2-to-1 multiplexer diagram A Verilog if statement is used to choose which statement should be executed depending on the conditional expression. Simplified Sample Syntax y= statement2; end S 0 0 0 0 1 1 1 1 S y MUX Inputs do 0 0 You can design the 2-to-1 multiplexer circuit by using if...else statement in Verilog and also write a testbench to run simulations. Verify by yourself that your simulation results match with the values shown below. 1 0 0 1 1 do dl d1 0 1 1 0 1 0 1 Output y 0 0 1 1 0 1 0 1
Expert Solution
steps

Step by step

Solved in 3 steps with 1 images

Blurred answer
Knowledge Booster
Fundamentals of Boolean Algebra and Digital Logics
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, computer-science and related others by exploring similar questions and additional content below.
Similar questions
  • SEE MORE QUESTIONS
Recommended textbooks for you
Programming Logic & Design Comprehensive
Programming Logic & Design Comprehensive
Computer Science
ISBN:
9781337669405
Author:
FARRELL
Publisher:
Cengage