Figure 1.5(a) shows the Verilog implementation of a module digital_block with a clock input cik, a 10-bit input n[9:0] and an output out. ) Sketch a block diagram showing the function of digital_block. (i1) This circuit is driven by a 5OMHZ clock signal and an input N as shown in Figure 1.5(b). Sketch the output signal Y. State any assumption used.

Database System Concepts
7th Edition
ISBN:9780078022159
Author:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Chapter1: Introduction
Section: Chapter Questions
Problem 1PE
icon
Related questions
Question
Figure 1.5(a) shows the Verilog implementation of a module digital_block with a clock
input clk, a 10-bit input n[9:0] and an output out.
(i)
Sketch a block diagram showing the function of digital_block.
(ii) This circuit is driven by a 50MHZ clock signal and an input N as shown in
Figure 1.5(b). Sketch the output signal Y. State any assumption used.
1
module digital block(clk, n, out);
// clock signal
input
input (9:0]
output
clk;
n;
out;
reg [9:0]
reg [5:0]
cnt;
reg
out, tick;
10
11
initial v = 10 b0;
initial cnt = 6'd49;
12
13
always e (posedge clk)
if (cnt == 6' de) begin
cnt <= 6'd49;
tick <= 1'b13;
end
else begin
14
15
16
17
18
19
cnt <= cnt 1'b1:
tick <= 1'be;
end
always @ (posedge tick) begin
if (v < 10'd999)
V <= v + 1'b1;
else
v = 10'do;
out <= (v < n):
end
31
endmodule
Figure 1.5(a)
digital_block
750
N
n[9:0]
250
outEY
4ms
8ms
50MHZ
clk
Figure 1.5(b)
2~~~ 22Mm m
Transcribed Image Text:Figure 1.5(a) shows the Verilog implementation of a module digital_block with a clock input clk, a 10-bit input n[9:0] and an output out. (i) Sketch a block diagram showing the function of digital_block. (ii) This circuit is driven by a 50MHZ clock signal and an input N as shown in Figure 1.5(b). Sketch the output signal Y. State any assumption used. 1 module digital block(clk, n, out); // clock signal input input (9:0] output clk; n; out; reg [9:0] reg [5:0] cnt; reg out, tick; 10 11 initial v = 10 b0; initial cnt = 6'd49; 12 13 always e (posedge clk) if (cnt == 6' de) begin cnt <= 6'd49; tick <= 1'b13; end else begin 14 15 16 17 18 19 cnt <= cnt 1'b1: tick <= 1'be; end always @ (posedge tick) begin if (v < 10'd999) V <= v + 1'b1; else v = 10'do; out <= (v < n): end 31 endmodule Figure 1.5(a) digital_block 750 N n[9:0] 250 outEY 4ms 8ms 50MHZ clk Figure 1.5(b) 2~~~ 22Mm m
Expert Solution
steps

Step by step

Solved in 5 steps with 4 images

Blurred answer
Knowledge Booster
Fundamentals of Computer System
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, computer-science and related others by exploring similar questions and additional content below.
Similar questions
Recommended textbooks for you
Database System Concepts
Database System Concepts
Computer Science
ISBN:
9780078022159
Author:
Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:
McGraw-Hill Education
Starting Out with Python (4th Edition)
Starting Out with Python (4th Edition)
Computer Science
ISBN:
9780134444321
Author:
Tony Gaddis
Publisher:
PEARSON
Digital Fundamentals (11th Edition)
Digital Fundamentals (11th Edition)
Computer Science
ISBN:
9780132737968
Author:
Thomas L. Floyd
Publisher:
PEARSON
C How to Program (8th Edition)
C How to Program (8th Edition)
Computer Science
ISBN:
9780133976892
Author:
Paul J. Deitel, Harvey Deitel
Publisher:
PEARSON
Database Systems: Design, Implementation, & Manag…
Database Systems: Design, Implementation, & Manag…
Computer Science
ISBN:
9781337627900
Author:
Carlos Coronel, Steven Morris
Publisher:
Cengage Learning
Programmable Logic Controllers
Programmable Logic Controllers
Computer Science
ISBN:
9780073373843
Author:
Frank D. Petruzella
Publisher:
McGraw-Hill Education