Determine the value of y[1] at time 15? Program C: Loop Operation module value1(y, w); input [3:0] w; output [3:0] y; reg [3:0]y; reg [3:0]k; reg [3:0]x; always @(w) begin y=1; for(x=0; x

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
Determine the value of y[1] at time 15?
(A) 1
B) O
X
D) Z
Program C: Loop Operation
module value1(y, w);
input [3:0] w;
output [3:0] y;
reg [3:0]y;
reg [3:0]k;
reg [3:0]x;
always @(w)
begin
y=1;
for(x=0; x<w; x=x+1)
begin
for(k=0; k<w; k=k+1)
begin
y = y+2;
end
end
end
endmodule
module TestBench;
reg [3:0] w;
wire [3:0] y;
initial
begin
$display("time
W
Y");
w = 1'b0;
#16 $finish;
end
always #1 w=w+1;
value1 U1(y, w);
initial
$monitor("%g %b %b ",$time, w, y);
endmodule
...
Transcribed Image Text:Determine the value of y[1] at time 15? (A) 1 B) O X D) Z Program C: Loop Operation module value1(y, w); input [3:0] w; output [3:0] y; reg [3:0]y; reg [3:0]k; reg [3:0]x; always @(w) begin y=1; for(x=0; x<w; x=x+1) begin for(k=0; k<w; k=k+1) begin y = y+2; end end end endmodule module TestBench; reg [3:0] w; wire [3:0] y; initial begin $display("time W Y"); w = 1'b0; #16 $finish; end always #1 w=w+1; value1 U1(y, w); initial $monitor("%g %b %b ",$time, w, y); endmodule ...
Expert Solution
steps

Step by step

Solved in 2 steps

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY