1-) Explain your codes step by step. How it works and what does your code do ? a.) 4-Bit Synchronous Parallel Load Shift Register with Counter and Asynchronous Reset: Write a Verilog code to read an 8-bit message from parallel input (user switches) into a shift-register, and use the shift function together with a counter to determine the number of '1's in the message (Don't use behavioral design instead used flip-flops). (no need for designing the 7-segment LED decoder here, the decoder will be used during the experimental work.) module countones (rst, Data, Clk,load,Q,Ones); input rst; input [7:0] Data; input Clk,load; output reg [3:0] Ones; output reg [7:0] Q; output reg [7:0] mask, temp; always@(posedge clk or negedge rst) begin if(!rst) begin Q<=8; Ones<=0; end else if (!clk) begin Q<=0; Ones<=0; end else if(load) begin Q<=Data; Ones<=0; end else if(!load) begin Q<=Data; Ones<=Data[3]; end end endmodule

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
1-) Explain your codes step by step. How it works and what does your code do ?
a.)
4-Bit Synchronous Parallel Load Shift Register with Counter and Asynchronous Reset:
Write a Verilog code to read an 8-bit message from parallel input (user switches) into a shift-register, and
use the shift function together with a counter to determine the number of '1's in the message (Don't use
behavioral design instead use d flip-flops). (no need for designing the 7-segment LED decoder here, the
decoder will be used during the experimental work.)
module countones (rst, Data, Clk,load,Q,Ones);
input rst;
input [7:0] Data;
input Clk,load;
output reg [3:0] Ones;
output reg [Z:0] Q;
output reg [7:0] mask, temp;
always@(posedge clk or negedge rst)
begin if(!rst)
begin Q<=8; Ones<=0; end
else if (!clk) begin Q<=0; Ones<=0; end
else if(load)
begin
Q<=Data;
Ones<=0;
end
else if(!load)
begin
Q<=Data;
Ones<=Data[3];
end
end endmodule
Transcribed Image Text:1-) Explain your codes step by step. How it works and what does your code do ? a.) 4-Bit Synchronous Parallel Load Shift Register with Counter and Asynchronous Reset: Write a Verilog code to read an 8-bit message from parallel input (user switches) into a shift-register, and use the shift function together with a counter to determine the number of '1's in the message (Don't use behavioral design instead use d flip-flops). (no need for designing the 7-segment LED decoder here, the decoder will be used during the experimental work.) module countones (rst, Data, Clk,load,Q,Ones); input rst; input [7:0] Data; input Clk,load; output reg [3:0] Ones; output reg [Z:0] Q; output reg [7:0] mask, temp; always@(posedge clk or negedge rst) begin if(!rst) begin Q<=8; Ones<=0; end else if (!clk) begin Q<=0; Ones<=0; end else if(load) begin Q<=Data; Ones<=0; end else if(!load) begin Q<=Data; Ones<=Data[3]; end end endmodule
Expert Solution
steps

Step by step

Solved in 2 steps

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY