Address [0] = 61fe04 Value of [0] = # Address [1] = 61fe08 Value of [1] = $ Address [2] = 61fe0c Value of [2] = % #3include int main () { ptr = var %3D printf("Address [%d] = %x\n°, i, ptr ) %3D ptr++ printf("Value of [%d] = %c\n°, i, *ptr) %3D for (i 0; i< MAX; i++) int var[l= (35, 36, 37) i *ptr %3D

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
Address [0] = 61fe04
Value of [0] = #
Address [1] = 61fe08
Value of [1] = $
Address [2] = 61fe0c
Value of [2] = %
#include <stdio.h>
int main () {
ptr = var
%3!
printf('Address [%d] = %x\n°, i, ptr)
ptr++
printf("Value of [%d] = %c\n", i, *ptr)
for (i 0; i< MAX; i++)
int var[] = {35, 36, 37}, i, *ptr
Transcribed Image Text:Address [0] = 61fe04 Value of [0] = # Address [1] = 61fe08 Value of [1] = $ Address [2] = 61fe0c Value of [2] = % #include <stdio.h> int main () { ptr = var %3! printf('Address [%d] = %x\n°, i, ptr) ptr++ printf("Value of [%d] = %c\n", i, *ptr) for (i 0; i< MAX; i++) int var[] = {35, 36, 37}, i, *ptr
Expert Solution
Fill ups
1
#define MAX 3
2
int var[] = {35, 36, 37}, i, *ptr;
3
ptr = var;
4
for (i = 0; i < MAX; i++)
5
printf("Address [%d] = %x\n", i, ptr);
6
printf("Value of [%d] = %c\n", i, *ptr);
7
ptr++;
steps

Step by step

Solved in 4 steps with 2 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY