a. movq (%rdi), %rax movq (%rax), %rax movq (%rax), %rax movq (%rax), %rax addq %rcx, %rax

Database System Concepts
7th Edition
ISBN:9780078022159
Author:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Chapter1: Introduction
Section: Chapter Questions
Problem 1PE
icon
Related questions
Question
Problem 2. For each of the following assembly code sequences, say what value ends up in %rax at
the end of the sequence of instructions. Assume the starting state is what was given for the previous
problem.
a.
b.
C.
movq (%rdi), %rax
movq (%rax), %rax
movq (%rax), %rax
movq (%rax), %rax
addq %rcx, %rax
movq (%rdi, %rdx), %rax
movq (%rdi,%rdx, 4), %rbx
shlq $3, %rbx
addq rbx, rax
movq 56(%rdi), rbx
movq 8(%rdi), %rcx
subq %rbx, %rcx
leaq (rcx,%rbx, 2), %rax
3
Transcribed Image Text:Problem 2. For each of the following assembly code sequences, say what value ends up in %rax at the end of the sequence of instructions. Assume the starting state is what was given for the previous problem. a. b. C. movq (%rdi), %rax movq (%rax), %rax movq (%rax), %rax movq (%rax), %rax addq %rcx, %rax movq (%rdi, %rdx), %rax movq (%rdi,%rdx, 4), %rbx shlq $3, %rbx addq rbx, rax movq 56(%rdi), rbx movq 8(%rdi), %rcx subq %rbx, %rcx leaq (rcx,%rbx, 2), %rax 3
Expert Solution
steps

Step by step

Solved in 3 steps

Blurred answer
Knowledge Booster
Embedded software development
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, computer-science and related others by exploring similar questions and additional content below.
Similar questions
  • SEE MORE QUESTIONS
Recommended textbooks for you
Database System Concepts
Database System Concepts
Computer Science
ISBN:
9780078022159
Author:
Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:
McGraw-Hill Education
Starting Out with Python (4th Edition)
Starting Out with Python (4th Edition)
Computer Science
ISBN:
9780134444321
Author:
Tony Gaddis
Publisher:
PEARSON
Digital Fundamentals (11th Edition)
Digital Fundamentals (11th Edition)
Computer Science
ISBN:
9780132737968
Author:
Thomas L. Floyd
Publisher:
PEARSON
C How to Program (8th Edition)
C How to Program (8th Edition)
Computer Science
ISBN:
9780133976892
Author:
Paul J. Deitel, Harvey Deitel
Publisher:
PEARSON
Database Systems: Design, Implementation, & Manag…
Database Systems: Design, Implementation, & Manag…
Computer Science
ISBN:
9781337627900
Author:
Carlos Coronel, Steven Morris
Publisher:
Cengage Learning
Programmable Logic Controllers
Programmable Logic Controllers
Computer Science
ISBN:
9780073373843
Author:
Frank D. Petruzella
Publisher:
McGraw-Hill Education