Verilog module testbench2 (); reg a, b, c; wire y; // instantiate device under test sillyfunction dut (a, b, c, y); // apply inputs one at a time // checking results initial begin 0; #10; = 0; b = 0; c = if (y !== 1) $display(“000 failed."); a C 1; #10; 0) $display(“001 failed."); 1; c = 0; if (y !== 0) $display(“010 failed."); if (y !=: b #10; C 1; #10; if (y !== 0) $display(“011 failed."); 1; b = 0; c = 0; #10; if (y !== 1) $display(“100 failed."); c = 1; a = 3%3D #10; 1) $display(“101 failed."); #10; if (y !== 1; c = 0; if (y !== 0) $display(“110 failed."); c = 1; if (y !== b = #10; 0) $display(“111 failed."); end endmodule

Database System Concepts
7th Edition
ISBN:9780078022159
Author:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Chapter1: Introduction
Section: Chapter Questions
Problem 1PE
icon
Related questions
Question

Explain how this code works...give the lab report

Verilog
module testbench2 ();
reg a, b, c;
wire y;
// instantiate device under test
sillyfunction dut (a, b, c, y);
// apply inputs one at a time
// checking results
initial begin
0; b = 0; c = 0; #10;
a
1) $display(“000 failed.");
#10;
0) $display(“001 failed.");
#10;
if (y !==
C
13;
if (y !=
1; c = 0;
if (y !=
=3D
b
0) $display(“010 failed.");
#10;
=
C
1;
if (y !== 0) $display(“011 failed.");
a = 1; b = 0; c = 0; #10;
if (y !=
1;
1) $display(“100 failed.");
#10;
=3=
C
if (y !== 1) $display(“101 failed.");
b = 1; c = 0;
#10;
0) $display(“110 failed.");
13;
if (y !=
#10;
if (y !== 0) $display(“111 failed.");
end
endmodule
Transcribed Image Text:Verilog module testbench2 (); reg a, b, c; wire y; // instantiate device under test sillyfunction dut (a, b, c, y); // apply inputs one at a time // checking results initial begin 0; b = 0; c = 0; #10; a 1) $display(“000 failed."); #10; 0) $display(“001 failed."); #10; if (y !== C 13; if (y != 1; c = 0; if (y != =3D b 0) $display(“010 failed."); #10; = C 1; if (y !== 0) $display(“011 failed."); a = 1; b = 0; c = 0; #10; if (y != 1; 1) $display(“100 failed."); #10; =3= C if (y !== 1) $display(“101 failed."); b = 1; c = 0; #10; 0) $display(“110 failed."); 13; if (y != #10; if (y !== 0) $display(“111 failed."); end endmodule
Expert Solution
trending now

Trending now

This is a popular solution!

steps

Step by step

Solved in 2 steps

Blurred answer
Knowledge Booster
Matrix multiplication
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, computer-science and related others by exploring similar questions and additional content below.
Recommended textbooks for you
Database System Concepts
Database System Concepts
Computer Science
ISBN:
9780078022159
Author:
Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:
McGraw-Hill Education
Starting Out with Python (4th Edition)
Starting Out with Python (4th Edition)
Computer Science
ISBN:
9780134444321
Author:
Tony Gaddis
Publisher:
PEARSON
Digital Fundamentals (11th Edition)
Digital Fundamentals (11th Edition)
Computer Science
ISBN:
9780132737968
Author:
Thomas L. Floyd
Publisher:
PEARSON
C How to Program (8th Edition)
C How to Program (8th Edition)
Computer Science
ISBN:
9780133976892
Author:
Paul J. Deitel, Harvey Deitel
Publisher:
PEARSON
Database Systems: Design, Implementation, & Manag…
Database Systems: Design, Implementation, & Manag…
Computer Science
ISBN:
9781337627900
Author:
Carlos Coronel, Steven Morris
Publisher:
Cengage Learning
Programmable Logic Controllers
Programmable Logic Controllers
Computer Science
ISBN:
9780073373843
Author:
Frank D. Petruzella
Publisher:
McGraw-Hill Education