Debug the following PLI program. The program is given as a source listing i.e. a written record of the PLI program supplied by the computer. STNT PROCEDURE OPTION(MAIN); DECLARE(A(10),B(10))FIXED (5). (X,YZ) FIXED (4), C CHARACTER(5); PUTPAGE? PUT SKIP(3)EDIT(C.X.Y.Z. W. V'A R) (COL(20).A.COL(30),A.COL (40).A, COL(50) A.COL(60) A.COL(70). A.COL(85) A.COL(95) A: GET EDIT (X, Y,C,W) (X(2) „F(4) ,X(3) „F(4). X(7) A(5). F(2): GET SKIP; IF X=0 & Y=0 THEN GOTO NOMORE; IF X>Y THEN Z=2*X+Y;ELSE Z=2*(X+Y)-18; V=SQRT(40)(Y-2}-W*X)((X-Y); 1 2 3 5 10 L: DOI=|TO W; A(I)=X+Y+3*I; B(I)=X-Y-3*I: IF 1=1 PUT SKIP(2)EDIT; EDIT(C XYZ, W.VA().B(I)) (COL(20).A(5).COL(30),F(4). COL(40), F(4).COL(50),F(4),COL(60) F(2). COL(70),E(13,6) COL(85),F (6).COL(95) F(6)): ELSE PUT SKIP EDIT(A(I),B(I))(COL(85),F(5). COL(95),F (5)): FIND LI; GO TO IN; 11 12 13 14 15 16 17 NOMORE: END BUGS: 6799OE

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
in PL/ I program
Debug the following PLI program. The program is given as a
source listing i.e. a written record of the PLI program
supplied by the computer.
STNT
PROCEDURE OPTION(MAIN);
DECLARE(A(10),B(10))FIXED (5), (X,YZ)
FIXED (4), C CHARACTER(5);
PUTPAGE? PUT SKIP(3)EDIT('C',X,Y'Z',
'W, V'A',R') (COL(20).A.COL(30),A.COL
(40),A, COL(50) A.COL(60) „A.COL(70),
A.COL(85) A.COL(95) A:
GET EDIT (X, Y,C,W) (X(2) F(4) ,X(3) ,F(4),
X(7) A(5), F(2)):
GET SKIP;
IF X=0 & Y= 0 THEN GOTO NOMORE;
IF X>Y THEN Z=2*X+Y;ELSE Z=2*(X+Y)-18:
V=SQRT(40)(Y-2)-W*X)((X-Y);
DOI=| TO W;
A(I)=X+Y+3*I; B(I)=X-Y-3*I;
IF 1=1 PUT SKIP(2)EDIT; EDIT(C.X.YZ,
W,V.A(),B(I)) (COL(20),A(5).COL(30),F(4).
COL(40) , F(4),COL(50),F(4),COL(60) F(2).
COL(70),E(13,6) COL(85),F (6),COL(95),F(6));
ELSE PUT SKIP EDIT(A(I),B(I))(COL(85),F(5).
COL(95),F (5)):
FIND LI;
GO TO IN;
NOMORE; END BUGS;
1
2
3
5
8
L|:
12
13
14
15
17
6799 0H
in67
123
Transcribed Image Text:Debug the following PLI program. The program is given as a source listing i.e. a written record of the PLI program supplied by the computer. STNT PROCEDURE OPTION(MAIN); DECLARE(A(10),B(10))FIXED (5), (X,YZ) FIXED (4), C CHARACTER(5); PUTPAGE? PUT SKIP(3)EDIT('C',X,Y'Z', 'W, V'A',R') (COL(20).A.COL(30),A.COL (40),A, COL(50) A.COL(60) „A.COL(70), A.COL(85) A.COL(95) A: GET EDIT (X, Y,C,W) (X(2) F(4) ,X(3) ,F(4), X(7) A(5), F(2)): GET SKIP; IF X=0 & Y= 0 THEN GOTO NOMORE; IF X>Y THEN Z=2*X+Y;ELSE Z=2*(X+Y)-18: V=SQRT(40)(Y-2)-W*X)((X-Y); DOI=| TO W; A(I)=X+Y+3*I; B(I)=X-Y-3*I; IF 1=1 PUT SKIP(2)EDIT; EDIT(C.X.YZ, W,V.A(),B(I)) (COL(20),A(5).COL(30),F(4). COL(40) , F(4),COL(50),F(4),COL(60) F(2). COL(70),E(13,6) COL(85),F (6),COL(95),F(6)); ELSE PUT SKIP EDIT(A(I),B(I))(COL(85),F(5). COL(95),F (5)): FIND LI; GO TO IN; NOMORE; END BUGS; 1 2 3 5 8 L|: 12 13 14 15 17 6799 0H in67 123
Expert Solution
steps

Step by step

Solved in 2 steps

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY