architecture behavior of confirm is type state is (COUNT, RELAX, REPORT); signal PRESENT_STATE: state; signal ISUM: signal ICOUNT: UNSIGNED (3 downto 0) begin :- "0000'; -"0000; UNSIGNED (3 downto 0) process (CLK, RESET) begin if RESET -0' then PRESENT STATE <- COUNT; CONFIRM < '0'; elsif (CLK'event and CLK='1') then CONFIRM <= '0'; case state is when COUNT => if KEYPRESS='1' then ICOUNT <= ICOUNT + 1; ISUM <= ISUM + UNSIGNED (DATA); PRESENT STATE <= RELAX, elsif VERIFY='1' then PRESENT STATE <= REPORT; PRESENT STATE <= COUNT; else end if; when RELAX => if KEYPRESS='1' then else PRESENT STATE <= RELAX; PRESENT STATE <= COUNT; end if; when REPORT => if (ISUM-2 and ICOUNT=4) then CONFIRM <='1'; if VERIFY='1' then PRESENT_STATE <= REPORT; else PRESENT STATE <= COUNT; ISUM <='0000''; ICOUNT :=''000ơ' end if; when others => PRESENT STATE <= WAIT; CONFIRM <= '0'; end case; end if; end process; end behavior;

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
Explain code
architecture behavior of confirm is
type state is (COUNT, RELAX, REPORT) ;
signal PRESENT_STATE: state;
signal ISUM:
signal ICOUNT: UNSIGNED (3 downto 0)
begin
:- "0000' ;
:-"0000' ;
UNSIGNED (3 downto 0)
process (CLK, RESET)
begin
if RESET - '0' then
PRESENT STATE <= COUNT; CONFIRM < '0';
elsif (CLK'event and CLK='1') then
CONFIRM <= '0';
case state is
when COUNT =>
if KEYPRESS='1' then ICOUNT <=
ICOUNT + 13;
ISUM <= ISUM + UNSIGNED (DATA);
PRESENT STATE <= RELAX;
elsif VERIFY='1' then PRESENT STATE <= REPORT;
else
PRESENT STATE <= COUNT;
end if;
when RELAX =>
PRESENT STATE <= RELAX;
PRESENT STATE
if KEYPRESS='1' then
else
<= COUNT;
end if;
when REPORT =>
if (ISUM-2 and ICOUNT=4) then CONFIRM <='1';
if VERIFY='1' then PRESENT STATE <= REPORT;
PRESENT STATE <= COUNT;
else
ISUM <='0000''; ICOUNT :=''0000';
end if;
when others =>
PRESENT STATE <= WAIT; CONFIRM <=
'O';
end case;
end if;
end process;
end behavior;
Figure Q2
Transcribed Image Text:architecture behavior of confirm is type state is (COUNT, RELAX, REPORT) ; signal PRESENT_STATE: state; signal ISUM: signal ICOUNT: UNSIGNED (3 downto 0) begin :- "0000' ; :-"0000' ; UNSIGNED (3 downto 0) process (CLK, RESET) begin if RESET - '0' then PRESENT STATE <= COUNT; CONFIRM < '0'; elsif (CLK'event and CLK='1') then CONFIRM <= '0'; case state is when COUNT => if KEYPRESS='1' then ICOUNT <= ICOUNT + 13; ISUM <= ISUM + UNSIGNED (DATA); PRESENT STATE <= RELAX; elsif VERIFY='1' then PRESENT STATE <= REPORT; else PRESENT STATE <= COUNT; end if; when RELAX => PRESENT STATE <= RELAX; PRESENT STATE if KEYPRESS='1' then else <= COUNT; end if; when REPORT => if (ISUM-2 and ICOUNT=4) then CONFIRM <='1'; if VERIFY='1' then PRESENT STATE <= REPORT; PRESENT STATE <= COUNT; else ISUM <='0000''; ICOUNT :=''0000'; end if; when others => PRESENT STATE <= WAIT; CONFIRM <= 'O'; end case; end if; end process; end behavior; Figure Q2
Expert Solution
steps

Step by step

Solved in 2 steps with 1 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY